Design and Optimization of PowerPC Instruction Set Simulator

In many embedded systems simulators, instruction set simulator based software simulators become a research hot topic. This paper realized the PowerPC instruction set simulator based on the mode of interpretive simulation and used the optimization technology to realize the modes of dynamic translation. Finally, using two procedures to test the performance of the two modes, the results show that the performance of instruction set simulation is significantly improved.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic €32.70 /Month

Buy Now

Price includes VAT (France)

eBook EUR 85.59 Price includes VAT (France)

Softcover Book EUR 105.49 Price includes VAT (France)

Tax calculation will be finalised at checkout

Purchases are for personal use only

Preview

Similar content being viewed by others

Extracting Threaded Traces in Simulation Environments

Chapter © 2013

DSP Instruction Set Simulation

Chapter © 2013

A Co-Design Framework with OpenCL Support for Low-Energy Wide SIMD Processor

Article 28 September 2014

References

  1. Keet, E.: A Personal Recollection of Software’s Early Days (1960-1979): Part 1. IEEE Annals of the History of Computing 17(4), 24 (1995) ArticleGoogle Scholar
  2. Voith, R.: The PowerPC 603 C++ Verilog Interface Model. In: Digest of Papers Spring CompCon, March 1994, pp. 337–340. IEEE Computer Society Press, Los Alamitos (1994) Google Scholar
  3. Sutanuala, S., Paulin, P., Kumar, Y.: Insulin: An Instruction Set Simulation Environment. In: Proceedings of CHDL-1993, Ottawa, Canada (1993) Google Scholar
  4. Faulh, A.: Beyond Tool-Specific Machine Descriptions. Code Generorion for Embedded Processors (1997) Google Scholar
  5. Hadjiyiannis, G., Hanona, S., Devadas, S.: Lsdl: An Instruction Set Description Language for Retargetability. In: Proceeding of the Design Automation Conference (DAC), Anaheim, CA (June 1997) Google Scholar
  6. Hartoog, M., Rowsan, A., Reddy, P.D., Desai, S., Dunlop, D.D., Harcoun, E.A., Khulla, N.: Generation of Software Tools From Processor Descriptions for Hardward/Software Codesign. In: Proceeding of the Design Automation Conference (DAC), Anaheim, CA (June 1997) Google Scholar
  7. Scott, K., Davidson, J.: Strata: A Software Dynamic Translation Infastructure. In: Proceedings of the IEEE Workshop on Binary Translation (2001) Google Scholar
  8. Grant, B., Philipose, M., Mock, M., Chambers, C., Eggers, S.L.: An Evaluation of Staged Run-Time Optimizations in Dyc. In: Proceedings of the ACM SIGPUN Conference on Programming Longuage Design and lmplemanlalion (1999) Google Scholar
  9. Hartoog, M., Rowson, J.A., Reddy, P.D., Desai, S., Dunlop, D., Harcourt, E.A., Khullar, N.: Generation of Software Tools from Processor Descriptions for Hardware/Software Codesign. In: Proc. of the Design Automation Conference (1997) Google Scholar
  10. Leupers, R., Elste, J., Landwehr, B.: Generation of Interpretive and Compiled Instruction Set Simulators. In: Proc. Of the Asia South Pacific Design Automation Conference (1999) Google Scholar
  11. Nohl, A., Braun, G., Schliebusch, O.A.: Universal Technique for Fast and Flexible Instruction-Set Architecture Simulation(A). In: Proceedings of the Design Automation Conference, vol. 39, pp. 138–141. IEEE Press, Design Automation Conference (2002) Google Scholar
  12. Zhu, J., Gajski, D.D.: A Retargetable, Ultra-fast Instruction Set Simulator. In: Proceedings of Conference on Design Automation and Test in Europe, pp. 298–302. IEEE Press, Los Alamitos (1999) Google Scholar
  13. Burtscher, M., Ganusov, I.: Automatic Synthesis of High-Speed Processor Simulators. In: Proceedings of the 37th annual International Symposium on Micro Architecture. IEEE Press, Los Alamitos (2004) Google Scholar
  14. Bartholomeu, M., Azebedo, R., Rigo, S., Araujo, G.: Optimizations for Compiled Simulation Using Instruction Type Information. In: Proceedings of the 16th Symposium on Computer Architecture and High Performance Computing, pp. 74–81. IEEE Press, Los Alamitos (2004) ChapterGoogle Scholar
  15. Cmelik, B., Keppel, D.: Shade: A Fast Instruction-Set Simulator for Execution Profiling. In: SIGMETRICS 1994, pp. 128–137. ACM, New York (1994) Google Scholar
  16. Schnarr, E., Larus, J.R.: Fast Out-Of-Order Processor Simulation Using Memorization. SIGOPS Oper. Syst. Rev. 32(5), 283–294 (1998) ArticleGoogle Scholar
  17. Hongwei, H., jiajia, S., Helmstetter, C., Joloboff, V.: Generation of Executable Representation for Processor Simulation with Dynamic Translation. In: Proceedings of the International Conference on Computer Science and Software Engineering. IEEE Press, Wuhan (2008) Google Scholar
  18. Futamura, Y.: Partial Evaluation of Computation Process - An Approach to a Compiler-Compiler. Higher Order Symbolic Computation 12(4), 381–391 (1999) ArticleMATHGoogle Scholar

Author information

Authors and Affiliations

  1. Dept. Electronic Information and Control Engineering, Guangxi University of Technology, Liuzhou, 545006, China Peng Shan, Jun-feng Han, Qi-wu Tong & Jin-chang Liang
  1. Peng Shan